From bf8c4ddc673f3897e120ba734b572b272b67517d Mon Sep 17 00:00:00 2001 From: Matej Focko Date: Wed, 14 Dec 2022 15:35:56 +0100 Subject: [PATCH] vector2d: use slice instead of Vec Signed-off-by: Matej Focko --- src/vector2d.rs | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/vector2d.rs b/src/vector2d.rs index b7ef0c0..28e7435 100644 --- a/src/vector2d.rs +++ b/src/vector2d.rs @@ -33,7 +33,7 @@ where &v[y][x] } -pub fn in_range(v: &Vec>, idx: &Vector2D) -> bool +pub fn in_range(v: &[Vec], idx: &Vector2D) -> bool where usize: TryInto, >::Error: Debug,